Тенденции и перспективы развития EDA-индустрии по материалам новостей специального Internet-портала www.DACafe.com май - июль 2003 Долинский М.С. Введение Классификация тенденций развития EDA-индустрии за период с 1 января 2001 года по июль 2003 года выглядит следующим образом: + 1. Борьба за интероперабельность + 2. Требуются и начинают возникать средства отладки мультипроцессорных систем + 3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика. 4. Генераторы моделей процессоров + 5. От C++ к HDL и обратно 6. IP-компоненты процессоров + 6.1. ARM шагает по планете + 6.2. MIPS - с отставанием от ARM, но с опережением всех остальных + 6.3. И другие процессорные ядра 6.4. Сетевые процессоры 7. В мире ПЛИС + 7.1. ПЛИС расширяют сферу применения + 7.2. Развитие средств проектирования ПЛИС 7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.1. Цифровая обработка сигналов + 7.3.2. Телекоммуникации 7.3.3. Средства управления движением 7.3.4. Реконфигурация "на-лету" 7.3.5. Память с шифрованием данных н! 7.3.6. CAN-контроллеры + 7.4. ASIC конвергируют к ПЛИС 8. IP-компоненты для ПЛИС и ASIC + 8.1. DSP-обработка + 8.2. Телекоммуникации 8.3. Шифрование + 8.4. Как распространяются IP-компоненты 9. Верификационные IP-компоненты + 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC. + 9.2. Другие языки и средства верификации симуляцией + 9.3. Средства формальной верификации 10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.1. Отладка проектов для ПЛИС 10.2. On-line - прототипирование ASIC + 10.3. Персональные средства для прототипирования ASIC 11. Отладка программного обеспечения для микроконтроллеров 11.1. Разработки Applied Microsystems + 11.2. И все остальные + 11.3. Сетевые микроконтроллеры + 11.4. Мультимедиа-микроконтроллеры + 11.5. Другие новости мира микроконтроллеров 12. Обучение - ключ к продаже 12.1. Очные семинары 12.2. On-line - обучение 12.3. Университетские программы + 12.4. Обучение через партнерские программы с центрами проектирования + 12.5. Документированные проекты 12.6. Комплексная (многовидовая) служба поддержки 13. Другие ключи к продаже + 13.1. Передача маркетинга на сторону + 13.2. Расширение географии + 13.3. On-line - выставки + 13.4. On-line - порталы н! 13.5. On-line - семинары 14. Интернет-технологии на службе EDA-индустрии 15. Специализированные СБИС + 15.1. Передача данных + 15.2. Сетевая обработка + 15.3. Цифровое телевидение + 15.4. Емкая и быстрая память для мобильных устройств н! 15.5. Цифровая камера Символами "н!" отмечены новые (по сравнению с ранее приведенной классификацией) тенденции, проявившиеся в обозреваемом периоде (май-июль 2003 года). Символами "+" отмечены тенденции, которые получили подтверждение и развитие в новостях обозреваемого периода. Рамки статьи вынуждают существенно сократить имеющийся фактический материал (полная версия материала доступна по адресу http://NewIT.gsu.unibel.by/resources/articles/ dolinsky/embedded systems/russian/dv0307.txt). Вначале рассмотрим динамику развития ранее подмеченных тенденций. 1. Новости в рамках классификации, приведенной на апрель 2003 года 1.1. "1. Борьба за интероперабельность" 1.1.1. Только факты 7 мая Intel выпускает спецификацию AHCI (Advanced Host Controller Interface) версии v0.95 Выпуск финальной версии AHCI ожидается в начале 2004 года. AHCI разрабатывается возглавляемой Intel AHCI Contributor Group, в которую входят также AMD, Dell, Marvell, Maxtor, Microsoft, Red Hat, Seagate и StorageGear. В бижайших планах - широкое внедрение технологии 1.5 Gb/s Serial ATA, которая должна заменить прежнюю технологию "Parallel ATA". www.intel.com/pressroom 13 мая Silicon Metrics лицензирует среду Synopsis Milkyway через программу MAP-in www.siliconmetrics.com 19 мая OpenAccess позволяет ускорить работу с помощью Cadence Virtuoso Chip Editor (VCE) в 10 и более раз VCE используется на стадии "Chip finishing". Исторически здесь чрезвычайно медленный обмен мульти-гигабитными файлами (например, в DEF или GDSII форматах). Теперь SoC Encounter и VCE используют базу данных Open Access и обмен информацией выполняется за минуты вместо нескольких часов при прежних подходах. www.cadence.com 22 мая Motorola купила у Cadence технологии и разработки Multimedia Home Platform (DVB MHP) Программное обеспечение MHP, основанное на Java-технологии, будет инкорпорировано в устройства DVi и DTH. DVB MHP было утверждено в 2000 году DVB Steering Board и формально одобрено European Telecommunications Standards Institute (ETSI TS 101 812 (MHP)). Эта акция позволила создать открытый стандартный API для мультимедийных домашних платформ. MHP определяет общий интерфейс между интерактивными цифровыми приложениями и терминалами, на которых эти приложения исполняются. DVB (Digital Video Broadcasting) - это созданный в 1993 году консорциум, включающий более 250 вещателей, производителей, сетевых операторов, разработчиков программного обеспечения из 35 стран. Консорциум DVB сформирован с целью разработать глобальные стандарты для распространения цифрового телевидения и данных. www.mhp.org www.dvb.org www.cadence.com www.motorola.com/broadband 2 июня Cadence обеспечивает интероперабельность для следующего поколения IEEE Verilog Cadence передала в рабочую группу IEEE расширения языка Verilog. Michael McNamara, председатель IEEE Verilog Standards Group высоко оценил подобные действия и напомнил, что именно объединенные усилия таких консорциумов как JEITA, IEC, OVI и Accellera а также таких компаний как Synopsis, Cadence, Fintronic и ASC привели к созданию таких Verilog-стандартов как IEEE-1364 1995 и IEEE 1364-2001. Cadence передала также двоичную библиотеку Verilog Procedural Interface (VPI), которая может быть использована с любым симулятором, совместимым со стандартом IEEE 1364, при разработке тестбенчей на язык Verilog. Incisive - верификационная платформа от Cadence, которая поддерживает Verilog, VHDL, SystemC, PSL/Sugar. www.cadence.com 2 июня Новый интерфейс от Verisity поддерживает внешние "Assertions" Specman Elite от Verisity поддерживает множество открытых стандартов, таких как OVL, PSL/Sugar (языки верификации) и SystemC (язык проектирования). Новый интефейс CAI (Coverage and Assertion Interface) позволяет пользователям импортировать в Specman Elite внешние метрики покрытия и "assertions". Одновременно объявлено, что язык верификации e фирмы Verisity принят в качестве базового IEEE Design Automation Standards Committee (DASC). www.verisity.com 2 июня IEEE DASC принял зык верификации e фирмы Verisity в качестве отправной точки стандартизации Проект получил номер 1647. Теперь e - первый язык верификации, рассматриваемый IEEE в качестве основы для открытого стандарта. Язык e разрабатывается Verisity с 1992 года. Среди основных достоинств e: - объектно-ориентированный язык верификации - декларативные ограничения генерации тестов - полный язык для протоколирования и assertions - спецификация покрытия - возможность использовать как декларативный, так и процедурный код, а также возможность определять новые декларативные конструкции - конструкции для описания временных соотношений и синхронизации, интерфейса с HDL, низкоуровневыми файлами и строковыми операциями. www.verisity.com 24 июня Ansoft присоединяется к программе Synopsys in-Sync www.ansoft.com 1.1.2 Обобщения и выводы Прежде всего, отметим продолжающуюся активность в двух "конкурирующих" направлениях стандартизации хранения информации о EDA-проектах: OpenAcess под руководством Cadence и Milkyway под руководством Synopsis. Среди других направлений важными являются работы по стандартизации, проводимые под эгидой IEEE: следующее поколением языка Verilog для проектирования, симуляции и синтеза; а также принятие языка верификации e фирмы Verisity в качестве базы для разработки стандартизированного языка верификации. Произошел очередной этап внедрения стандарта DVB MHP (Digital Video Broadcasting Multimedia Home Platform) для мультимедийных домашних платформ - Motorola намерена строить на нем свои соответствующие разработки. И, наконец, Intel AHCI Contributor Group выпустила спецификацию AHCI (Advanced Host Controller Interface) v0.95. Цель нового интерфейса - внедрение технологии 1.5 Gb/s Serial ATA. 1.2. "2. Требуются и начинают возникать средства отладки мультипроцессорных систем" 1.2.1. Только факты 1 мая Agere Systems анонсирует два новых чипа для Интернет- телефонии: T8300 и T8303 Эти чипы являются системами на кристалле, содержащими DSP 1600 фирмы Agere и микроконтроллер ARM940T, 96Кбайт ROM и 56Кбайт RAM, и ряд периферийных устройств, таких как Ethernet PHY, кодеки, контроллер USB 1.1. (последний только на чипе T8300). Чипы уже предполагаются к использованию несколькими фирмами: Telrad, Tenovis. По оценкам Allied Business Intelligence, рынок IP-телефонов вырастет с 453,000 в 2000 год до 38,000,000 в 2007 году. www.agere.com www.tenovis.com www.connegy.co.il 19 мая Oak Technology анонсирует OTI-4100 - PSoC для устройств обработки образов и печати OTI-4100 интегрирует на одном кристалле 2 процессора: ARM7TDMI RISC и Quatro SIMD DSP. www.oaktech.com 29 мая Agere Systems интегрировала 8 ARM-процессоров ARM966E-S в свой ASIC чип Каждый ARM-процессор снабжен 52 КБайт памяти инструкций, 20Кбайт памяти данных и 16 кбайт двухпортовой памяти для обмена данными. www.agere.com 7 июля 'Rocket IO Design Kit for HyperLynx' от Mentor Graphics упрощает симуляцию мультигигабитных проектов на базе Xilinx Virtex II Pro Xilinx Virtex II Pro содержит до четырех встроенных процессоров IBM Power PC, до 24 мультигигабитных последовательных передатчиков. www.mentor.com/highspeed/resource www.xilinx.com/serialsolution 24 июля Oak Technology выпустила документированный проект использования RTOS Nucleus PLUS Quatro - это, разрабатываемая в Oak Technology, масштабируемая, расширяемая архитектура, для конструирования программируемых SoC. Эта архитектура базируется на использовании CPU ARM и DSP собственной разработки Oak. Oak позиционирует Quatro на использование в мультифункциональных устройствах, не базирующихся на IBM PC. RTOS Nucleus Plus разработана в Accelerated Technology, которая является подразделением Mentor. www.oaktech.com www.acceleratedtechnology.com www.mentor.com 1.2.2. Обобщения и выводы Современные прикладные разработки (прежде всего в области мультимедийных домашних и мобильных приложений) требуют производительности мультипроцессорных систем. А современные технологии позволяют строить такие системы, даже на одном кристалле. Среди упомянутых в отчетный период мультипроцессорных систем: связка AgereDSP+ARM940, созданная фирмой Agere для Internet-телефонии; ASIC-чип, интегрирующий 8 процессоров ARM966E-S, также созданный фирмой Agere; связка OakDSP+ARM7TDMI фирмы Oak для устройств обработки образов и печати; технология масштабируемых архитектур Quatro фирмы Oak на базе интеграции DSP и ARM ядер; FPGA Xilinx Virtex II Pro, способная интегрировать на одном кристалле до 4 процессоров IBM PowerPC. Очевидно, что серьезным сдерживающим фактором дальнейшего развития подобных технологий является недостаточная распространенность (если не сказать отсутствие) настраиваемых на архитектуру средств разработки программного обеспечения мультипроцессорных систем, а также средств совместной симуляции и верификации программного и аппаратного обеспечения. 1.3. "3. Требуются и разрабатываются средства отладки однопроцессорных систем - SoC-платформ: процессор + память + программирумая логика." 1.3.1. Только факты 19 мая Summit Design анонсирует Visual Elite 4.0 как средство разработки от спецификации до совместной реализации программного и аппаратного обеспечения Visual ESC (Embedded System Co-design) обеспечивает работу с ISS-моделями процессоров от Xilinx Virtex Pro (PowerPC), ARM (семейство CCM) и Motorola (MPC7410 и MPC7450 PPC). Visual ESC используется для кодирования и симуляции FastC (среда отладки для SystemC-описаний и автоматической генерации соответствующих синтезируемых HDL-описаний). Visual Elite 4.0 сейчас доступен для бета-тестирования, цена - от $15K. www.sd.com www.xilinx.com 28 мая CoWare продвигает ConvergenSC на DAC 2003 Модели процессоров LISATek могут быть интегрированы в среду верификации ConvergenSC. www.dac.com www.coware.com 7 июля Cypress MicroSystems предлагает одночипное устройство на базе микроконтроллера для измерения энергии Устройство включает 8-битный микроконтроллер, от 8 до 16 Кбайт флеш-памяти, 256 байт SRAM, а также блоки программируемой цифровой и аналоговой логики. Прикладное программное обеспечение для измерений распространяется бесплатно на сайте Cypress. Цена устройства (CY8C775X) - $2.50 в партиях по 10К штук. www.cypressmicro.com 15 июля Mentor Graphics анонсировала поддержку в Seamless семейства встроенных процесоров ARM11 Выпущены PSP (Processor Support Packages) для ARM1136J-S и ARM1136JF-S. Эти PSP полностью симулируют конвейеры, кеши и наборы инструкций ARM и Thumb, включая Java-расширения. Напомним, что PSP для ARM7, ARM9, ARM10 были выполнены ранее. PSP для ARM-11 полностью совместимы с технологией C-Bridge и продуктом Platform Express. Технология C-Bridge поддерживает эффективную верификацию проектов, разработанных полностью на С (включая System C) или на смеси С/RTL с помощью Seamless. Platform Express позволяет быстро интегрировать в проект различные IP-компоненты. Seamless выпущена в 1996 году. В настоящее время в Seamless поддерживается более 120 CPU и DSP. PSP для ARM11 построен на основе Modelware - интерфейса второго поколения, разработанного Mentor Graphics для интеграции потактовых моделей CPU в Seamless. Комбинация Modelware от Mentor и Cycle Callable Models от ARM обеспечила создание PSP. Сотрудничество между ARM и Mentor началось в 1997 году. С тех пор более 80 пользователей лицензировали средства ко-верификации от Mentor для ARM-процесоров. PSP для ARM1136J-S и ARM1136JF-S доступны для операционных систем Solaris, HP/UX и Red Hat Linux. Цена на PSP для ARM-процессоров начинается от $20,000. www.mentor.com/seamless 1.3.2. Обобщения и выводы В отчетный период проявилась новая SoC-платформа от фирмы Cypress MicroSystems и обозначены три программынх системы, ориентированных на поддержку совместной разработки программного и аппаратного обеспечения SoC-систем: Visual ESC от Summit Design с поддержкой моделей процессоров Xilinx Virtex Pro (PowerPC), ARM (семейство CCM) и Motorola (MPC7410 и MPC7450 PPC); ConvergenSC фирмы CoWare с поддержкой моделей процессоров, генерируемых с помощью продукта LisaTek, также теперь принадлежащего CoWare, и Seamless фирмы Mentor Graphics, с впервые продекларированной поддержкой микроконтроллеров семейства ARM11 и поддержкой более 120 других моделей DSP и CPU. 1.4. "5. От C++ к HDL и обратно" 1.4.1. Только факты 1 мая Altera выпустила DSP Builder версии 2.1 DSP Builder 2.1 интегрирует MathWorks MATLAB и Simulink с Quartus II - средой разработки FPGA, поддерживая эффективное создание определяемых пользователем DSP сопроцессоров на FPGA. DSP Builder 2.1 распространяется по подписке - $1,995 на 12 месяцев. Возможна 30-дневная бесплатная оценка DSP Builder 2.1 (раздается с сайта). www.altera.com/codedsp www.mathworks.com 22 мая CELOXICA развивает поддержку С-проектирования для новых 90нм Xilinx FPGA Spartan-3 Используя средства от Celoxica, инженеры могут разбить проект на программную и аппаратную части, верифицировать единую систему, и непосредственно синтезировать аппаратное обеспечение с С-описаний в FPGA Spartan-3. В проекте можно использовать процессоры MicroBlaze, языки С,С++,System-C и Handel-C. www.celoxica.com www.xilinx.com/spartan3 22 мая Aldec добавила синтез из C-описаний от Celoxica в Active-HDL 6.1 Active-HDL 6.1 параллельно поддерживает HDL и C/C++ описания вплоть до реализации. Все результаты С-синтеза от Celoxica аннотируются. www.celoxica.com www.aldec.com 1.4.2. Обобщения и выводы В области проектирования аппаратного обеспечения с помощью диалектов C расширяется переход от академических исследований к коммерческим разработкам и, соответственно, практическому использованию. Интересно, что главными движущими силами внедрения такого подхода в практику являются разработчики средств автоматизации проектирования ПЛИС: Altera, выпустив DSP Builder 2.1, поддерживает переход от MATLAB и Simulink моделей к своим FPGA; Aldec, выпустив Active-HDL 6.1, поддерживает синтез FPGA из С-описаний от Celoxica; средства разработки от Celoxica могут быть использованы для синтеза под Xilinx FPGA Spartan-3 из C-описаний. Внедрение синтеза FPGA непосредственно из C-описаний может расширить круг разработчиков аппаратного обеспечения, повысить их прозводительность труда, сократив тем самым сроки и стоимость разработки. 1.5. "6. IP-компоненты процессоров 6.1. ARM шагает по планете" 1.5.1. Только факты 28 мая Magma и ARM подписали соглашение Magma разработает поток проектирования для ARM-ядер от RTL до GDS для технологий 0.25 мк, 0.18 мк, 0.13 мк и 90 нм. Вначале работа будет выполнена для ARM926EJ-S и ARM946E-S, а затем для ARM10 и ARM11. www.magma-da.com www.arm.com 1.5.2. Обобщения и выводы ARM продолжает занимать лидирующее положение на рынке синтезируемых ядер процессоров. Кроме приведенного сообщения напомним также несколько упомянутых выше: ARM-процессоры (ARM7TDMI и ARM940T) использованы для построения двух процессорных систем типа ARM+DSP двумя различными фирмами (Agere и Oak), кроме того, Agere разработала 8-процессорный комплекс на базе процессоров ARM966E-S. И,наконец, Mentor Graphics поддержала процессоры ARM11 в своей системе совместной разработки программного и аппаратного обеспечения Seamless. 1.6. "6.2. MIPS - с отставанием от ARM, но с опережением всех остальных" 1.6.1. Только факты 27 мая Toshiba анонсирует два новых 64-битных RISC процессора с архитектурой MIPS, изготовленных на базе технологии 90 нм Эти процессоры (TMPR4955CFG-400 и TMPR4956CXBG-400 на базе ядра TX49/H4) потребляют только 0.6 Ватт, работая на частоте до 400 Мгц. Toshiba разрабатывает микропроцессоры, лицензированные у MIPS с 1989 года. www.toshiba.com/taec 16 июня MIPS Technologies разработала новую микроархитектуру для встроенных систем следующего поколения - MIPS32 24K Повышение производительности и конфигурируемости - вот основные направления развития встроенных систем. Особенности микроархитектуры MIPS32 24K: - 8-стадийный конвейер - рабочая частота от 400 до 550 Мгц при изготовлении по технологии 0.13 мк - аппаратная поддержка когерентности кеш-памяти в мультипроцессорных системах - конфгурируемое устройство управления памятью - 64-битная подсистема памяти с поддержкой до 6 операций чтения - множество наборов регистров - поддержка векторных прерываний - сокращение времени обработки прерываний - сжатие кода с помощью MIPS16e ASE - добавляемые пользователем инструкции - поддержка плавающей арифметики (IEEE 754) - совместимость на архитектурном уровне с MIPS32 www.mips.com 1.6.2. Обобщения и выводы В очередной раз нашла потверждение теза об ориентации MIPS на рынок 64-битных процессоров. В тоже время MIPS предприняла серьезную попытку улучшить свою 32-битную архитектуру - прежде всего в борьбе за рынок встроенных систем. 1.7. "6.3. И другие процессорные ядра" 1.7.1. Только факты 18 июня Altera представляет образец реконфигурируемой архитектуры на базе встроенного процессора NIOS на ERSA 2003 ERSA 2003 - Международная конференция "Engineering of Reconfigurable Systems and Algorithms" www.altera.com/education/events/northamerica/evt-ersa_2003.html 23 июня Atmel выпустила mAgic - DSP-компоненту, которая обеспечивает 1.0 GFLOPS на частоте 100 Мгц DSP-компонента от Atmel выполняет 15 операций параллельно в одном такте. Обрабатываемые вещественные числа имеют 32 бита мантиссы и 8 битов экспоненты. В комплект поставки входит также MADE (Modular Application Development Environment), включающая макроассемблер-оптимизатор, GNU-компиляторы, RTOS eCos, и унифицированную среду отладки. Симулятор на уровне тактов обеспечивает производительность симуляции 5 KIPS, а симулятор на уровне инструкций обеспечивает 2 MIPS. Макро-ассемблер использует запатентованную Atmel схему кодирования/сжатия инструкций, так что большинство арифметических операций кодируется 4 битами, а средняя плотность кода - 50 битов на VLIW-такт. Это позволяет хранить 24,000 VLIW-тактов во внутренней памяти. Макро-ассемблерный оптимизатор автоматически анализирует логические и временные зависимости данных в последовательно-написанном коде и затем планирует все операции так, чтобы максимально загрузить ресурсы ядра. Множество инструкций mAgic разделено на 4 ортогональные группы (для поддерживаются RISC-технологии компиляции): 1) Арифметические регистровые операции 2) Операции загрузки/выгрузки "Регистры-память" 3) Операции управления 4) Множественная загрузка и непосредственные данные Кроме DSP-ядра при разработке SoC на ATmel GPGA можно использовать RISC-процессоры ARM 7, ARM 9 и Atmel AVR. www.atmel.com/dyn/products/tools_card.asp?family_id=631&family_name=IP+Cores&tool_id=3168 1.7.2. Обобщения и выводы Altera продолжает развивать встроенный в свои FPGA процессор Nios. Отметим также, что Atmel выпустила встроенный в FPGA DSP-процессор. В каком-то смысле можно употребить и слово ВПЕРВЫЕ по отношению к этому факту. Поскольку ранее FPGA (в частности от Xilinx и Altera имели просто встроенные DSP-блоки, типа умножителей). Отрадно, что аппаратный прорыв поддержан соответствующей средой разработки программного обеспечения для этого нового DSP, включающей оптимизирующий ассемблер, GNU-компиляторы и среду отладки. 1.8. "7. В мире ПЛИС 7.1. ПЛИС расширяют сферу применения" 1.8.1. Только факты 22 мая Altera продала Stratix GX EP1SGX40G - первую FPGA с 20 высокоскоростными каналами для передачи данных www.altera.com 26 мая Космические FPGA от Actel преодолели барьер в 1 миллион вентилей FPGA RTAX-S - устойчивые к радиации FPGA с плотностью до 2 миллионов эквивалентных системных вентилей (примерно 250,000 эквивалентных ASIC-вентилей) и до 288К битов встроенной SRAM. Семейство RTAX-S поддерживается средой разработки Libero. www.actel.com 2 июня Микросхемы самого дешевого семейства MAX 3000A CPLD фирмы Altera теперь работают в промышленном диапазоне температур от -40 до +85 градусов по Цельсию Программная поддержка MAX 3000A CPLD обеспечивается разработками Altera: Quartus II и MAX+PLUS II, а также сторонними проуктами от Mentor Graphics, Synopsys, Synplicity и др. Цена 1 чипа - менее $1, плотность - от 32 до 512 макроячеек. www.altera.com/products/devices/max3k 23 июня Altera выпускает устройства семейства HardCopy Stratix HardCopy Stratix - новое поколение дешевых программируемых устройств, с плотностью, производительностью и потреблением энергии ASIC. Их разработка поддерживается Altera Quartus II версии 3.0. Плотность - от 25,660 до 79,040 логических ячеек. Цена - от $25 до $120. www.altera.com/software www.altera.com/q2webedition 23 июня Xilinx Spartan-3 снижают стоимость FPGA Емкость Spartan-3 от 50К до 5М, цена - от $3.50. www.xilinx.com/spartan 1.8.2. Обобщения и выводы В отчетном периоде можно отметить следующие направления расширения сферы применения ПЛИС: устойчивость к радиации (семейство RTAX-S фирмы Actel); дешевизна плюс промышленный диапазон температур (MAX 3000A CPLD фирмы Altera); большое количество высокоскоростных каналов передачи данных (Stratix GX EP1SGX40G фирмы Altera); снижение стоимости емких FPGA (Spartan-3 от Xilinx); конвергенция к ASIC по плотности, производительности и потреблению энергии (HardCopy Stratix от Altera). 1.9. "7.2. Развитие средств проектирования ПЛИС" 1.9.1. Только факты 9 мая Aldec ускоряет в 10-50 раз ABV (Assertion-Based Verification) В Aldec Riviera-IPT пользователи получают в одном продукте VHDL, Verilog, ABV, SystemC и аппаратную акселерацию. Riviera-IPT поддерживает ABV и в аппаратном и в программном обеспечении. Высокоуровневые assertions - это мощные декларативные конструкции, специфицирующие проект на всем протяжении цикла проектирования. Автоматический контроль assertions ускоряет верификацию сложных проектов. Riviera-IPT имеет уникальную возможность компилировать assertions в эмуляцию. Эти фрагменты могут быть оставлены в проекте как чекеры протоколов в реальном времени - на стадии финального изготовления или даже на стадии эксплуатации. Эмулятор в Riviera-IPT может принимать до 12 миллионов FPGA вентилей или до 3 миллионов ASIC вентилей. www.aldec.com 19 мая Mentor Graphics анонсирует полный пакет средств разработки для больших FPGA Комбинация больших и сложных IP-компонент, памяти, высокоскоростного ввода-вывода, процессоров и встроенного программного обеспечения на одной FPGA ставит серьезные проблемы перед традиционными методологиями и средствами проектирования. Выполнение таких проектов требует новых средств разработки, харатеризующихся мощными возможностями проектирования на системном уровне, параллельной разработкой программного и аппаратного обеспечения, и верификации на всех стадиях проектирования. Mentor Graphics предлагает такие средства, обеспечивающие -- проектирование и верификацию FPGA -- разработку и верификацию встроенных систем -- проектирование и верификацию печатных плат. Проектирование и верификация FPGA - Создание и управление проектом (HDL Designer Series) - Синтез (Precision RTL Synthesis, LeonardoSpectrum) - Симуляция (ModelSim) - Интегрированное средство разработки FPGA (FPGA Advantage) - Формальная верификация - Библиотеки IP-компонент (Inventra) Разработка и верификация встроенных систем - Интеграция и разработка программного обеспечения (IDE+RTS Nucleus) - Ко-верификация (Seamless) - Платформенное проектирование (Platform Express) Проектирование и верификация печатных плат (FPGA BoardLink) www.mentor.com/fpga 19 мая ModelSim работает на 64-битном Itanium 2 под Linux www.model.com www.mentor.com 22 мая Разработка 6-миллионо-вентильного проекта ускорена в 16 раз с помощью Aldec Riviera-IPT Amirix Systems - основанная в 1981 году проектная компания (design house) - вынуждена была тратить по 3 суток на симуляцию проекта во время регрессионного тестирования для того, чтобы проверить функциональность на системном уровне. С внедрением Aldec Riviera-IPT это время сократилось до 5 часов. www.aldec.com 26 мая Aldec представляет свою технологию аппаратной акселерации на DAC 2003: Riviera-IPT + Active-HDL 6.1 http://www.aldec.com/Registration/DAC/DAC2003.aspx 1 июня Synplicity и Lattice Semiconductor расширяют стратегическое партнерство В рамках нового многолетнего соглашения средства синтеза от Synplicity будут поддерживать все новые микросхемы программируемой логики от Lattice. www.latticesemi.com www.synplicity.com 23 июня Synplicity улучшает Identify - свои средства отладки RTL-описаний непосредственно в схеме Identify 1.2 поддерживает Xilinx Virtex-II Pro, Actel ProASIC и ProASIC Plus, Agilent Technologies "traceport cable", Altera Apex, Apex-II, Stratix, Xilinx Spartan II, Spartan II-E, Virtex, Virtex-E, Virtex-II. Identify 1.2 обеспечивает отладку в реальном времени проектов, отображая полученные данные непосредственно на исходном RTL-тексте. www.synplicity.com 24 июня Altera выпускает Quartus II 3.0 Достоинства: - Chip Editor - небольшие изменения в проект модно вносить непосредственно на чипе- без перекомпиляции проекта - Incremental Compilation - перекомпиляция только тех частей проекта, которые модифицировались - в среднем сокращает время перекомпиляции на 40% - Command Line & Tcl Scripting Interface - новый интерфейс командной строки, поддерживает исполнение в распределенной среде разработки Altera Quartus II 3.0 поддерживает FPGA HardCopy Stratix. www.altera.com www.altera.com/hardcopystratix 24 июня Ведущие EDA-поставщики поддержали FPGA Altera HardCopy Stratix Разработки Cadence(Incisive), Mentor Graphics (LeonardoSpectrum 2003b, Precision RTL 2003b, ModelSim 5.7c), Synopsys (средства синтеза, временного анализа и верификации) и Synplicity (Synplify 7.3.) интегрированы в Altera Quartus II 3.0. www.altera.com www.altera.com/hardcopystratix 1 июля Новая версия HDL Designer Series (2003.1) от Mentor Graphics - включает обновленные средства создания, анализа и документирования проектов. Design Manager обеспечивает навигацию по множеству файлов проектов с возможностью сортировки, группирования и фильтрации данных по любому атрибуту проекта. DesignPad - специализированный редактор HDL (Hardware Description Language)-проектов, обеспечивает их графическое представление. HDL Designer Series 2003.1 включает также специализированные графические редакторы для функциональных схем, машин состояний, блок-схем и таблиц истинности. Обеспечена интеграция со средством симуляции проектов - ModelSim. Имеется возможность HTML-экспорта документации для поддержки распределенных команд проектов. HDL Designer Series 2003.1 включен в FPGA Advantage 6.1. www.hdldesigner.com www.mentor.com/fpga-advantage 1 июля Новая версия FPGA Advantage (6.1) от Mentor Graphics FPGA Advantage 6.1 интегрирует HDL Designer Series, ModelSim и Precision RTL Synthesis для обеспечения полного цикла проектирования, верификации и реализации устройств на FPGA. Цена FPGA Advantage 6.1 - от $53,600 за плавающую лицензию. www.mentor.com/fpga-advantage 1.9.2. Обобщения и выводы Прежде всего нужно отметить программное заявление Mentor Graphics о том, что новые позможности FPGA (встроенные процессоры, память, высокоскоростной ввод-вывод, сложные IP-компоненты) требуют новых средств разработки, харатеризующихся мощными возможностями проектирования на системном уровне, параллельной разработкой программного и аппаратного обеспечения, и верификацией на всех стадиях проектирования. Mentor перечисляет также свои основные продукты, для решения возникающих проблем: HDL Designer Series, Precision RTL Synthesis, LeonardoSpectrum, ModelSim, FPGA Advantage, Inventra, IDE+RTS Nucleus, Seamless, Platform Express, FPGA BoardLink. Для некоторых из них (HDL Designer Series, ModelSim, FPGA Advantage) в отчетном периоде выпущены новые версии. Комплексно к решению проблемы подходит также Aldec со своей технологией инкрементального прототипирования и основными продуктами Acrive HDL 6.1 и Riviera-IPT. Такой же комплексный подход, правда только по отношению к проектированию своих чипов, демонстрирует и Altera, выпустившая Quartus II 3.0. Отметим также, что выпуск Altera новых FPGA HardCopy Stratix был сразу же поддержан разработчиками средств синтеза и симуляции, продукты которых: Incisive от Cadence, LeonardoSpectrum 2003b, Precision RTL 2003b и ModelSim 5.7c от Mentor Graphics, Synplify 7.3. от Synplicity интегрированы в Altera Quartus II 3.0. Synplify отметилась еще двумя сообщениями: о стратегическом партнерстве с разработчиком ПЛИС Lattice Semiconductor и о дальнейшем развитии Identify 1.2 - собственных средств отладки проектов в реальном времени, отображающих полученные в результате эмуляции данные непосредственно на исходном RTL-тексте. 1.10. "7.3. Направления и примеры применения - устройства на базе ПЛИС 7.3.2. Телекоммуникации" 1.10.1. Только факты 23 июня Lattice Semiconductor анонсирует 10 Gbps SERDES с самым низким потреблением энергии - 0.8 ватт. Цена - от $79.00. www.latticesemi.com 1.10.2. Обобщения и выводы В очередной раз преимущества ПЛИС-подхода к разработке прикладных устройств демонстрирует именно фирма - разработчик соответствующей ПЛИС. 1.11. "7.4. ASIC конвергируют к ПЛИС" 1.11.1. Только факты 27 мая Synplicity анонсирует поддержку ASIC архитектуры RapidChip, разрабатываемой фирмой LSI Logic www.synplicity.com 2 июня Chip Express включила средства от Synplicity в свой поток проектирования ASIC Chip Express выпускает "структурированные" ASIC с сокращенным сроком проектирования и уменьшенной стоимостью. www.chipexpress.com www.synplicity.com 1.11.2. Обобщения и выводы Интересно, что именно Synplicity оказывает своими средствами синтеза поддержку производителям ASIC (LSI Logic и Chip Express), стремящимся разрабатывать чипы, конвергирующие от ASIC к FPGA по срокам разработки и стоимости невозвращаемых затрат. 1.12. "8. IP-компоненты для ПЛИС и ASIC 8.1. DSP-обработка" 1.12.1. Только факты 3 июня Altera выпускает IP-компоненты для вещественного БПФ Эти компоненты совместимы с IEEE 754 (1 знаковый бит, 8 битов экспоненты и 23/31 битов мантиссы) и оптимизированы для микросхем семейства Stratix. Компонента Radix 4 обеспечивает обработку тысячи точек за 25 микросекунд при работе на частоте 200 Мгц и занимает только 10% ресурсов на среднем устройстве семейства Stratix. Компонента Radix 2 занимает вдвое меньше ресурсов и обрабатывает тысячу точек за 50 микросекунд при работе на частоте 200 Мгц. Эти компоненты - часть инициативы Altera Code:DSP. www.altera.com/ipmegastore www.altera.com/stratix 1.12.2. Обобщения и выводы БПФ (Быстрое Преобразование Фурье) - важная составляющая многих алгоритмов цифровой обработки сигналов, а скорость реализации БПФ - хороший показатель потенциальной производительности такой системы. 1.13. "8.2. Телекоммуникации" 1.13.1. Только факты 12 мая Actel анонсирует CorePCIX - IP-компоненту 133 MHz PCI-X для FPGA Axcelerator CorePCIX распространяется в виде нет-листа и RTL. Доступна бесплатно оценочная версия. Цена за однократное использование - $18,000. www.actel.com/products/ip/index.html 1 июля Artimi пользуется продуктами от Cadence. для работы в области беспроводных технологий Artimi - fabless-компания, основанная в 2002 году в Англии, работает над технологиями UWB (Ultra Wideband), полагая что они заменят ныне существующие технологии Bluetooth и 802.11, обеспечив более высокие скорости передачи информации, минимальное потребление энергии и увеличение расстояний передачи. www.artimi.com 2 июля Mentor Graphics купила IP бизнес у Alcatel Прежде всего это IP-компоненты для Ethernet и MAC (Media Access Control), которые теперь добавлены к имеющимся у Mentor IP компонентам PCI Express, 802.11, Bluetooth, USB 2.0 и USB On-The-Go. www.mentor.com 1.13.2. Обобщения и выводы В качестве активно востребованных IP-компонент передачи информации упомянуты: PCI-X, PCI Express, 802.11, Bluetooth, USB 2.0 и USB On-The-Go. Отметим также появление в 2002 году в Англии fabless-компании Artimi, ориентированной на рынок высокоскоростной беспроводной передачи инфромации. 1.14. " 9. Верификационные IP-компоненты 9.1. Язык верификации e, среда верификации Specman Elite, фирма Verisity - разработчик e и Specman Elite, верификационные компоненты на языке e - eVC." 1.14.1. Только факты 22 мая TNI-Valiosys и Verisity сотрудничают TNI-Valiosys imPROVE-HDL - это статический чекер assertions, дополняющий симуляцию. Verisity Specman Elite - это среда автоматизированной разработки тестов и верификации проектов. Теперь можно автоматизированно переходить из imPROVE-HDL в Specman Elite при верификации проекта. www.tni-valiosys.com www.verisity.com 2 июня Verisity и 0-In сотрудничают в разработке средств верификации Интеграции подвергаются Specman Elite от Verisity и CheckerWare от 0-In. Кроме того, Vericity анонсировала новый открытый интерфейс - CAI (Coverage Assertion Interface). www.verisity.com www.0-in.com 2 июня Verisity анонсирует книгу по e "Design Verification with e" (Prentice Hall PTR, 2003, ISBN 0-13-141309-0) будет продаваться с 15 августа 2003 года. www.amazon.com www.phptr.com 23 июня Verisity получила 7 патентов США в своей технологии верификации: - "Method and Apparatus for Test Generation During Circuit Design" - "System and Method for Identifying Finite State Machines and Verifying Circuits" - "System and Method for Compiling Temporal Expressions" - "Electronic Circuit Design Environmentally Constrained Test Generation System" - "System and Method for Applying Flexible Constraints" - "Method and Apparatus for Test Generation During Circuit Design" - "Race Condition Detection and Expression" www.verisity.com 23 июня Verisity выпускает новую версию eCelerator - 1.1. eCelerator в 75 раз повысил производительность верификации на STMicroelectronics. eCelerator ускоряет верификацию, синтезируя в аппаратуру верификационные конструкции, заданные на языке e и обеспечивая последующий прозрачный для пользователя анализ результатов в Specman Elite. eCelerator поддерживает следующие платформы: Celaro и VStation от Mentor Graphics, Incisive и Palladium от Cadence. www.verisity.com 23 июня Verisity анонсирует SureCov версии 3.2 SureCov - анализатор покрытия проекта тестами. SureCov автоматически извлекает из RTL-текста более 99% конечных автоматов. www.verisity.com 23 июня Verisity выпускает Specman Elite версии 4.2 Новые возможности Specman Elite: - External Simulator Interface (ESI) - это процедурный интерфейс, обеспечивающий разработчиков симуляторов и пользователей средствами взаимодействия их продуктов со Specman Elite - Visualization Toolkit - позволяет быстро разрабатывать новые виды отчетов - Ports - новое свойство языка, обеспечивающее общий синтаксис для взаимодействия с внешними языками HDL, SystemC, eCelerator. www.verisity.com 23 июня Verisity улучшает AMBA AHB eVC AMBA AHB eVC включает 3 части: - "scenario generator" для генерации трафика - "monitors and assertion checkers" для наблюдения выходов и контроля правил - "coverage reports" для вывода отчетов по покрытии тестами функций шины AMBA AHB. www.verisity.com 1.14.2. Обобщения и выводы Отчетный период отмечен наступлением Verisity "по всем фронтам". Прежде всего это 7 полученных патентов США и упомянутая ранее в пункте 1.1 передача e в IEEE DATC в качестве основы стандарта языка верификации. Важным фактом является также выпуск новых версий продуктов: Specman Elite 4.2 с открытыми интерфейсами ESI (External Simulator Interface) и CAI (Coverage Assertion Interface); eCelerator 1.1 с поддержкой эмуляционных платформ Celaro и VStation от Mentor Graphics, Incisive и Palladium от Cadence; SureCov 3.2. Кроме того, Verisity отметилась сообщениями о сотрудничестве с TNI-Valiosys и 0-In, выпуске книги по e в издательстве Prentice Hall и улучшении eVC компонеты для AMBA AHB. 1.15. "9.2. Другие языки и средства верификации симуляцией" 1.15.1. Только факты 12 мая Synopsys выпускает Magellan для верификации RTL-проектов Magellan комбинирует возможности формальной верификации и симуляции. Цена Magellan - от $73,500 за годичную лицензию. DVF (Discovery Verification Platform) от Synopsys теперь включает: VCS HDL симулятор, VCS MX mixed-HDL симулятор, CoCentric System Studio для верификации на системном уровне, LEDA - программируемый RTL-чекер, VERA - средство автоматической генерации тестов, Magellan - гибридное средство формальной верификации RTL, DesignWare для верификации IP-компонент, Formality - чекер эквивалентности, NanoSim и HSPICE для смешанной (аналого-цифровой) симуляции. www.synopsys.com 12 мая Synopsys анонсирует методологию "проектирование-для-верификации" основанную на SystemVerilog Текущий стандарт Accellera SystemVerilog 3.0 поддерживается теперь в VCS. Продажа таких версий VCS планируется с 4-го квартала 2003 года. Design Compiler с поддержкой SystemVerilog 3.0 поставляется бета-тестерам со 2-го квартала 2003. Formality начнет поддерживать SystemVerilog 3.0 с 1-го квартала 2004 года. Поддержка SystemVerilog 3.1 планируется в VCS и Vera с 1-го квартала 2004 года для бета-тестеров. www.synopsys.com 15 мая SynaptiCAD снабдила DataSheet Pro и TestBencher Pro свойством "Multiple Timing" SynaptiCAD DataSheet Pro v9.0 обеспечивает инженеров эффективной средой для создания и редактирования временных диаграмм и документации по компонентам. Новая версия обеспечивает возможность открывать и редактировать несколько диаграмм одновременно. SynaptiCAD распространяет бесплатно TDML-вьюверы для анализа временных параметров и таблиц параметров, созданных с помощью Data Sheet Pro. (TDML - Timing Diagram Markup Language). DataSheet Pro использует технологию OLE (Object Linking and Embedding) для поддержки редактирования временных диаграмм. Полученные диаграммы можно конвертировать в JPG и PNG файлы для WEB-публикации. Цена DataSheet Pro v9.0 - от $4,000. www.syncad.com 21 мая Summit интегрирует Sugar в Visual Elite для улучшения ABV (Assertion-Based Verification) Visual Elite от Summit Design интегрируется с FoCc от IBM с целью ускорить совместное проектирование и верификацию HDL и SystemC. Visual Elite - это среда функционального моделирования и верификации с использованием C/C++/SystemC с последующим автоматизированным переводом описаний в RTL. FOCs трансформирует Sugar-assertions в эффективный код, интегрируемый в среду симуляции. Комбинация Visual Elite и Sugar обеспечит динамическую проверку assertions во время симуляции. www.sd.com 22 мая ARM и NVIDIA выбрали для верификации Cadence Incisive NVIDIA будет верифицировать свой 3D графический процессор следующего поколения. ARM использует Cadence Incisive для верификации новых поколений процессоров. Среди основных достоинств Cadence Incisive - поддержка SystemC, ABV (assertion-based verification), высокая скорость компиляции и симуляции. Cadence Incisive поддерживает Verilog, VHDL, SystemC, SCV (SystemC verification standard), PSL/Sugar. www.cadence.com 22 мая Sutherland HDL Inc. представляет семинар по SystemVerilog 3.1 на DAC 2003 SystemVerilog 3.1 содержит такие расширения как структуры, безопасные указатели, интерфейсы, объектно-ориентированные классы, семафоры, почтовые ящики, генераторы случайных чисел, PSL(Sugar) assertins - все это должно повысить эффективность моделирования мультимиллионных проектов. www.sutherland-hdl.com/seminar.htm www.accellera.org/SystemVerilogWorkshop.html 27 мая Novas улучшает платформы отладки Debussy и Verdi Улучшено использование памяти и удвоена производительность выполнения многих отладочных операций. www.novas.com 27 мая 0-In анонсирует унифицированную метрику - Structural Coverage Structural Coverage (Структурное Покрытие) включает 3 компоненты 1. Точки верификации в RTL 2. Покрытие их в симуляции 3. Покрытие их в формальной верификации www.0-in.com 28 мая Debussy и Verdi фирмы Novas поддерживают язык PSL для ABV (assertion-based verification) PSL 1.0 был разработан группой стандартов Accelera, обеспечивает точный синтаксис и ясно формально выраженную семантику. www.novas.com 28 мая InTime Software обеспечивает статический временной анализ RTL-описаний с помощью своего продукта Time Director www.intimesw.com 1 июня Novas объявляет о поддержке SystemVerilog 3.0 в своем отладчике Debussy Инженеры могут анализировать результаты, сгенерированные симуляторами SystemVerilog с помощью средств трассировки и визуализации Debussy. www.novas.com 3 июня Incentia улучшает временной анализатор TimeCraft Теперь 10-миллионо-вентильный проект может быть проанализирован менее чем за 30 минут на 32-битной платформе. www.incentia.com 12 июня Concept Engineering выпустила программные средства (на Perl/Tk) для визуализации схем в продукте Nlview Widget Perl используется для решения алгоритмических проблем, а Tk - для реализации графического интерфейса пользователей. Поддерживается анализ схем проектов на всех уровнях: транзисторном, вентильном, блочном, регистровых передач, и системном. Atrenta первой лицензировала Nlview Widget. Найденные средствами SpyGlass ошибки в проекте (VHDL/Verilog-текстах) будут визуализироваться на схеме (средствами Nlview Widget) и в исходном тексте. Это позволит разработчикам лучше понимать проблемы в проекте и быстрее находить их решения. Concept Engineering (Freiburg, Germany) основана в 1990 году. www.concept.de www.atrenta.com 7 июля Sun Microsystems использует средства отладки Debussy и Verdi от фирмы Novas Причем Debussy используется на Sun с января 2001 года, а теперь лицензионное соглашение включает и Verdi. www.novas.com 10 июля SynaptiCAD и Pulse Instruments анонсировали партнерство в создании генераторов цифровых сигналов Предполагается интеграция WaveFormer Pro и TestBencher Pro от SynaptiCAD с PI-2005 от Pulse Instruments. Для тестового оборудования PI-2005 можно будет использовать стимулы, созданные в WaveFormer Pro и TestBencher Pro. www.pulseinstruments.com www.syncad.com 15 июля Incentia улучшила свой временной анализатор TimeCraft TimeCraft - статический временной анализатор проектов, представленных на вентильном уровне. Основные направления улучшения - ускорение в 5 раз и более эффективное (на 30%) использование оперативной памяти. Цена TimeCraft - от $20,000 за год. www.incentia.com 24 июля Super FinSIM - Verilog-симулятор от Fintronic интегрирован с системой отладки Debussy от Novas Fintronic USA анонсировала выпуск специального API для высокопроизводительной интеграции с Debussy. Super FinSim имеет низкие требования к памяти, высокую скорость сохранения и рестарта, собственные механизмы своппинга, компактный формат хранения результатов, поддержу раздельной компиляции, что делает его идеальным для использования в больших симулирующих фермах. Впервые появившись на рынке в 1993 году, в настоящее время FinSim лицензирован более 3,500 раз более чем 400 различным фирмам включая такие как Intel, Motorola, Lucent, Transmeta, Mindspeed, Toshiba, Toyota, NTT, STARC, Matsushita. Debussy - система отладки Verilog и VHDL-проектов, интегрирована более чем с 25 EDA-продуктами, лицензирована более 10,000 раз. www.fintronic.com www.novas.com 1.15.2. Обобщения и выводы Среди упомянутых за отчетный период средств верификации, симуляции и отладки нужно отметить: Debussy и Verdi фирмы Novas, Incisive (Cadence), Magellan (Synopsis), Visual Elite (Summit Design). Решениями хотя и важных, но локальных задач занимаются симулятор FinSIM (Fintronic), статический временной анализатор TimeCraft (Incentia) и Time Director (InTime Software), средства для визуализации схем по исходным VHDL/Verilog текстам Nlview Widget (Concept Engineering), средства редактирования документации и временных диаграмм по компонентам DataSheet Pro и TestBencher Pro (SynaptiCAD). Интересно, что Synopsis только 12 мая сообщила о планируемой поддержке Accellera SystemVerilog 3.0 в своих продуктах симуляции (VCS) и синтеза (Design Compiler), а Sutherland HDL Inc. уже 22 мая объявила о намерении провести семинары по новому стандарту SystemVerilog 3.1. 1.16. "9.3. Средства формальной верификации" 1.16.1. Только факты 25 июня Synopsys приобретает InnoLogic Systems, Inc. InnoLogic Systems занималась разработкой технологий проверки эквивлентности. www.synopsys.com 14 июля Cadence подписала соглашение о приобретении Verplex с намерением интегрировать в свои EDA продукты средства формальной верицикации от Verplex Последние независимые исследованя показали, что более половины всех разработанных чипов потребовали одно или более повторных изготовлений чипа, и функциональные ошибки были обнаружены в 74% этих повторных изготовлений. Продукты от Verplex должны обнаруживать фунциональные различия между RTL и GDSII описаниями проекта. Дело в том, что логически корректное RTL-описание проекта ('golden RTL') подвергается большому количеству трансформаций в процессе получения GDSII. Каждый шаг этого процесса может вносить логические ошибки. Именно здесь и требуются средства формальной верификации. Verplex была основана в 1997 году. www.verplex.com www.cadence.com 22 июля Atrenta отмечена наградой AlwaysOn Artenta разрабатывает EDA-средства предсказательного анализа, лучший продукт - SpyGlass. AlwaysOn - награда 100 частным компаниям, наиболее сильно влияющим на рынок за счет развития новых технологий. 100 лучших были отобраны мз 700 номинированных компаний. SpyGlass позволяет предсказывать проблемы в проекте, сокращая месяцы на многократно повторяющиеся циклы симуляции, верификации, кодирования. www.atrenta.com 1.16.2. Обобщения и выводы Atrenta, получив престижную награду от EDA-сообщества, в очередной раз доказала важность на современном этапе средств, реализующих формальную верификацию . То же самое доказали Synopsis и Cadence, одновременно приобретая фирмы, работающие в этой сфере: Synopsis купила InnoLogic Systems, а Cadence - Verplex. Обе, очевидно, намерены встроить эффективные средства формальной верификации в предлагаемые ими технологии сквозного проектирования цифровых систем. 1.17. "10. Прототипирование, эмуляция и отладка ПЛИС и ASIC 10.3. Персональные средства для прототипирования ASIC" 1.17.1. Только факты 6 мая Silicon Graphics выбрала для эмуляции VStation от Mentor Graphics Представители SGI утверждают, что эмуляция ускоряет исполнение их программного обеспечения в 10,000 раз по сравнению с симуляцией. www.mentor.com 15 июля Cadence сократила время верификации проектов на Matsushita Cadence Incisive ускорила скорость симуляции в 1000 раз, при этом время на врефикационный тест сократилось с 6 недель до 50 минут. Время компиляции проектов в системе Palladium в 10 раз сокращено по сранвению с предыдущей версией- CoBALT. Incisive поддерживает Verilog, VHDL, SystemC, SystemC Verification Library, PSL/Sugar. Palladium, использумый в Incisive в качестве эмулятора и акселератора, обеспечивает беспрецендентную производительность за счет параллельной архитектуры. www.cadence.com 23 июля Аппаратный акселератор System Explorer от Aptix интегрирует генератор тестбенчей eCelerator фирмы Verisity Для этого Aptix стала членом программы VIP (Verisity's Interoperability Partners). Как результат, пользователи получат единую поддержку от симуляции до прототипирования. System Explorer от Aptix поддерживает прототипирование проекта на множестве FPGA. В результате интеграции пользователи смогут использовать эмуляцию на более ранних стадиях проекта за счет синтеза e-описаний функциональаных моделей, мониторов, чекеров данных и др. www.aptix.com www.verisity.com 23 июля Tharas Systems добавит поддержку eCelerator от Verisity Для этого Tharas Systems стала членом программы VIP (Verisity's Interoperability Partners). Tharas Systems разрабатывает средства аппаратной верификации ( в частности, Hammer). Hammer будет интегрирован с eCelerator. Эта интеграция позволит использовать тестбенчи, написанные на языке 'e' при верификации с помощью Hammer. Tharas Systems основана в 1998 году. www.tharas.com www.verisity.com 1.17.2. Обобщения и выводы Чем больше становятся разрабатываемые проекты, тем актуальнее их прототипирование и как можно более ранняя замена симуляции эмуляцией. Среди лидеров эмуляционных платформ сегодня вышеупомянутые VStation (Mentor Graphics), Palladium (Cadence), System Explorer (Aptix), Hammer (Tharas Systems). 1.18. "11. Отладка программного обеспечения для микроконтроллеров 11.2. И все остальные" 1.18.1. Только факты 14 мая Новый пакет TASKING TriCore VX от Altium использует технологию компиляции Viper для повышения эффективности кода для TriCore TASKING TriCore VX - это пакет средств разработки встроенного программного обеспечения, включающий TASKING EDE, C/C++/EC++ компилятор, ассемблер, линкер и отладчик-симулятор CrossView Pro OCDS. www.tasking.com/products/tricore 1.18.2. Обобщения и выводы Непонятно почему (возможно из-за "простоты" несанкционированного копирования" и чрезвычайной распространенности "взламывания" программных продуктов) фирм - разработчиков системного программного обеспечения для микроконтроллеров осталось сравнительно немного. Многих из них (в том числе и TASKING) скупила Altium. И вот ею выпущен TASKING TriCore VX - средства разработки встроенного программного обеспечения для процессора TriCore. 1.19. "11.3. Сетевые микроконтроллеры" 1.19.1. Только факты 6 мая Wavecom и Atmel выпускают однокристальный процессор для GSM/GPRS Этот процессор включен в WOW! (Wireless Open Workshop) - имеющийся у Wavecom набор аппаратных платформ создания беспроводных устройств. Wavecom основана в 1993 году, штаб-квартира в Париже. www.wavecom.com www.atmel.com 6 мая TI выпускает PCI7x20 - контроллер UltraMedia CardBus, интегрирующий функциональные возможности 1394 (FireWire), Flash Media и Smartcard PCI7x20 соответствует EMV (European MasterCard Visa) 2000 версии 4.0 - последней версии спецификаций, разработанных для обеспечения интероперабельности между чип-картами и терминалами на глобальной основе, вне зависимости от производителя, финансового института или организации, в которой используется чип-карта. www.ti.com/sc03099 13 мая Atmel выпускает новое семейство микросхем - CryptoRF Wireless - для рынка бесконтактных смарт-карт. CryptoRF может содержать от 1 до 64 Кбит памяти. Такой памяти достаточно для хранения биометрических данных и фотографий. www.atmel.com/products/SecureRF 19 мая Agere Systems аносирует программный пакет для GPRS GPRS (General Packet Radio Service) - стандарт передачи мультимедиа-информации в беспроводных устройствах. Agere использовала Java-наработки от Esmertec (Jbed - самая быстрая виртуальная Java-машина) и Openware (Mobile Browser и Mobile Messaging client v.6). Пакет от Agere поддерживает WAP версии 2.0, обеспечивается работа по протоколам TCP/IP, HTTP; форматы контента XHTML, CHTML, WML, JPEG, GIF. www.agere.com 1.19.2. Обобщения и выводы Можно отметить, что имеется сильная тенденция к созданию беспроводных сетей, в частности Atmel выпустила процессор GSM/GPRS, а также смарт-карту CryptoRF Wireless, Agere выпустила программное обеспечение для GPRS, а Texas Instruments выпустила контроллер, интегрирующий функциональные возможности 1394 (FireWire), Flash Media и Smartcard. 1.20. "11.4. Мультимедиа-микроконтроллеры" 1.20.1. Только факты 25 июня TI анонсирует новые медиа-процессоры TMS320DM642(641,640), работая на частоте до 600 Мгц, могут обрабатывать до 30 кадров в секунду при разрешении 720x480, имеют встроенные порты видео, аудио и Ethernet. www.ti.com/dm64xpr 1.20.2. Обобщения и выводы Мультимедиа - перспективная область применения цифровой техники, и Texas Instruments подчеркнула это, выпустив свои новые медиа-процессоры. 1.21. "11.5. Другие новости мира микроконтроллеров" 1.21.1. Только факты 22 мая Atmel выпускает AVR Butterfly - дешевый Evaluation Kit для LCD AVR AVR Butterfly имеет микроконтроллер Mega169, пьезо-элемент для генерации звука, встроенные датчики освещения и температуры, LCD дисплей, 4 мегабита DataFlash. Одна батарейка размером с монетку обеспечивает ARM Butterfly энергией на 7 лет. AVR Butterfly включает также примеры приложений - часы и календарь, цифровой термометр, измеритель освещенности. Цена AVR Butterfly - $19.99. www.atmel.com/dyn/products/product_card.asp?part_id=3012 www.atmel.com/seminar/mcu 2 июня Motorola выпускает HCS08 - новое семейство микроконтроллеров для поддержки переносных приборов 8-битные МК HCS08 с напряжением питания 1.8 вольт превышают производительность многих 16-битных МК при меньшем энергопотреблении. Основные характеристики: - множество режимов энергопотребления, в том числе 20 наноампер в режиме "power-down" - компонента "автопробуждения" с током потребления 0.7 микроампера - до 40 Мгц CPU и 20 Мгц шина при работе с напряжением питания 2.1В и до 16 Мгц CPU и 8 Мгц шина при напряжении питания 1.8В. - внутренний программируемый тактогенератор - "полевое" перепрограммирование по технологии "флеш" - 4 последовательных порта, до 8 таймеров/PWM, 8-канальный 10-битный ADC. - "Battery Life Calculator" Чтобы он вычислил продолжительность срока службы батарейки ему нужно ввести: - процент времени, в котором HCS08 функционирует в каждом из режимов - как часто система использует ADC и прерывания - на какой частоте функционирует система - среднее напряжение питания и средняя температура www.motorola.com/mcu www.motorola.com/semiconductors www.metrowerks.com 3 июня RTOS Nucleus использована при выполнении проекта e-ReMedy фирмой Air Machine в Италии e-ReMedy - удаленная медицинская платформа для контроля посредством Интернет за состоянием больных, находящихся дома. e-ReMedy выполнен на базе процессора Hitachi SH4. www.airmachine.it www.acceleratedtechnology.com www.mentor.com 1 июля Motorola развивает свое семейство микроконтроллеров HCS12 Новые микроконтроллеры MC9S12E128 и MC9S12E64 работают от напряжения питания 3 и 5 вольт в температурном диапазоне от -40 до +125 градусов по Цельсию, имеют 128 и 64 Кбайт встроенной флеш-памяти и работают на частоте 25 Мгц. Кроме того, они снабжены синхронным и асинхронным SPI, I2C и IRDA (для беспроводной передачи информации в инфракрасном диапазоне), 2 8-битных DAC, 16-канальный 10-битный ADC, до 90 контактов ввода- вывода общего назначения. В натоящее время разрабатывается программная поддержка стеков TCP/IP и IRDA. MC9S12E128 и MC9S12E64 снабжены BDM (background debug mode), поддерживаются средствами разработки от Nohau. Цена - от $6.40 до $8.30. www.motorola.com/mcu www.motorola.com/semiconductors www.nohau.com 1.21.2. Обобщения и выводы Motorola подтвердила свои лидирующие позиции на рынке микроконтроллеров, выпустив новых представителей сразу двух семейств: 8-битных HCS08, и 16 битных HCS12. При этом, в HCS08 основная оптимизация направлена на сокращение энергопотребления при сохраненни высокой производительности, а в HCS12 можно говорить о гармоничном универсальном развитии (производительность, температурный диапазон, встроенная память, богатая периферия, включая IRDA, встроенные средства отладки BDM и др.). Микроконтроллер Hitachi SH4 упомянут как базовый при разработке реальной прикладной медицинской системы. Atmel выпустила дополнительные средства разработки цитфровых систем на базе своего микроконтроллера AVR. 1.22. "12. Обучение - ключ к продаже 12.4. Обучение через партнерские программы с центрами проектирования" 1.22.1. Только факты 29 июля Plextek присоединилась к партнерской программе FAST фирмы Mentor Graphics Как член FAST (FPGA Advantage Solutions Thrust), Plextek получит доступ к FPGA Advantage - единому средству редактирования, симуляции и синтеза и соответствующему сервисному обслуживанию. Plextek основана в 1989 году (Лондон, Великобритания) и является одной из самых больших европейских EDA-консультирующих фирм, обеспечивая также проектные сервисы. Участие в программе FAST доступно за небольшую вступительную плату и последующие ежегодные членские взносы. www.plextek.com www.mentor.com/consulting/fpga_partners 1.22.2. Обобщения и выводы Mentor Graphics планирует свое наступление на европейский рынок посредством фирмы Plextek, давно и активно работающей на нем. 1.23. "12.5. Документированные проекты" 1.23.1. Только факты 16 июня National Semiconductor и Synopsys совместно разрабатывают документированный проект для иллюстрации технологии PowerWise нацеленной на сокращение энергопотребления в переносных устройствах Ключевым компонентом технологии PowerWise является APC (Adaptive Power Controller), который взаимодействует с внешним EMU (Energy Management Unit) используя стандартный PWI (PowerWise Interface). www.national.com www.synopsys.com 1.23.2. Обобщения и выводы Важность документированных проектов как средства продвижения своих разработок осознали прежде всего такие крупные компании как, например, National Semiconductor и Synopsys. Вторым фактором, влияющим на то, что документированные проекты обычно выполняются крупными компаниями - это сроки и стоимость. У меньших компаний, очевидно, нет на это ни времени, ни средств. 1.24. "13. Другие ключи к продаже 13.1. Передача маркетинга на сторону" 1.24.1. Только факты 24 июля Chronology (подразделение Forte Design Systems) и E*ECAD подписали соглашение о партнерстве Теперь TimingDesigner от Chronology будет распространяться через каналы по продаже от E*ECAD. TimingDesigner - гибкое и мощное средство специфицирования устройств посредством временных диаграмм. Forte Design Systems - ведущий разработчик средств разработки и симуляции с помощью System C. E*ECAD занимается коммерческим распространением EDA-продуктов. www.TimingDesigner.com www.ForteDS.com www.eecad.com 29 июля Mentor Graphics выбрала Memec Design для распространения Inventra для FPGA Memec Design - инженерное подразделение Memec Group, которая является глобальным поставщиком полупроводниковых продуктов. www.mentor.com 1.24.2. Обобщения и факты Передача маркетинга на сторону, по многим (прежде всего экономическим) причинам пока еще не массовое явление, но "процесс пошел". Даже такие крупные компании как Mentor Graphics и Forte Design Systems решились на подобный шаг, пусть хотя и в отношении некоторых (но далеко не всех) своих продуктов. 1.25. "13.2. Расширение географии" 1.25.1. Только факты 10 июня Китайский национальный центр проектирования микросхем в Shenzhen выбрал продукты от Cadence в качестве EDA-платформы Всего в Китае 7 таких центров. Еще два из них (в Beijing и Shanghai IC) приняли аналогичные решения. www.southic.com www.cadence.com 19 июня Cypress открывает центр проектирования в Индии Этот центр в городе Hyderabad - второй в Индии центр проектирования, основанный Cypress. Первый был открыт в городе Bangalore. Всего Cypress уже организовала 18 таких центров в США, Англии, Ирландии, Турции и Индии. Журнал "National Geographic" еще ранее объявил Hyderabad новой "Кремниевой Долиной". www.cypress.com 24 июля Virage Logic расширяет свое присутствие в Азии лицензионным соглашением с Silterra IP Virage Logic - поставщик IP компонент. Silterra Malaysia Sdn. Bhd - фирма по производству полупроводниковых чипов. www.viragelogic.com www.silterra.com 24 июля Virage Logic расширяет свое присутствие в Китае лицензионным соглашением с SMIC Virage Logic - поставщик IP компонент. SMIC (Semiconductor Manufacturing International Corporation) - корпорация по производству чипов, расположенная в Шанхае (Китай). www.viragelogic.com www.smics.com 1.25.2. Обобщения и выводы Китай, Индия и Азия привлекают сегодня тех, кто мечтает о расширении своего рынка сбыта. За отчетный период в Китае укрепляли свои позиции Cadence и Virage Logic, в Индии - Cypress, в Азии Virage Logic. 1.26. "13.3. On-line - выставки" 1.26.1. Только факты 8 мая Новинка на VirtualDACafe Теперь при входе на виртуальный стенд посетители могут или входить в чат, или непосредственно разговаривать с представителями на стенде, понятно что на пользовательской стороне требуются для этого такие устройства как микрофоны и спикеры. Открытие VirtualDACafe запланировано на 24 июня 2003 года (закрытие - 26 июня, а затем по заказу доступ будет обеспечиваться до 1 августа). Стендисты имеют специальное административное управление для оформления своего стенда, включая цвета, сообщения, мультимедиа-возможности и т.д. VirtualDace запланирована по времени таким образом, чтобы участники Design Automation Conference (DAC) могли повторно использовать подготовленные материалы. www.virtualdacafe.com www.ibsystems.com 1.26.2. Обобщения и выводы VirtualDacafe - наболее яркий представитель on-line выставок. В борьбе за выживание с традиционными выставками они вынуждены как активно развиваться с технической стороны (использование живого звука, а в песпективе и видео, средства автоматизированной разработки виртуальных стендов и т.д.), так и делать эффективные организационные ходы (подстройка времени проведения под DAC, например). 1.27. "13.4. On-line - порталы" 1.27.1. Только факты 4 июня Actel развивает WEB-центр для разработчиков устройств на ASIC и FPGA Этот WEB-центр открылся в сентябре 2002 года и включает тьюториалы, FAQ, обзоры рынка, примеры применения, документацию, глоссарии терминов и ссылки на статьи и аналогичные ресурсы. Проведено интенсивное пополнение сайта по 4 направлениям: "Design Security", "Power Consumption", "Neutron-Induced Firm Errors", "Green Packaging". Когда генерируемые в верхних слоях атмосферы нейтроны с высокой энергией попадают в ячейки SRAM FPGA, они могут изменить состояние такой ячейки непредсказуемым образом. Actel выпускает энергонезависимые FPGA, в которых подобные ошибки исключены. www.actel.com/products/rescenter/index.html 23 июня Mentor Graphics анонсирует SupportNet KnowledgeBase - он-лайновую базу знаний Новый подход - дополнение к имеющимся службам телефонной и e-mail поддержки пользователей. www.mentor.com/supportnet 24 июня Mentor Graphics использует программное обеспечение Primus для развертывания он-лайн-поддержки SupportNet KnowledgeBase www.primus.com 14 июля Mentor Graphics четвертый год подряд отмечена как компания, обеспечивающая эффективную поддержку пользователей ее продуктов. Необходимо отметить также, что среди EDA фирм, Mentor до сих пор единственная удостоенна такой оценки. Среднее время ответа на запрос пользователя по телефону или E-mail менее 5 минут! WEB-сайт поддержки пользователей от Metnor (SupportNet) отмечен наградой "Ten Best Web Support Sites". SCP Certification - это международно признанный стандарт, определяющий практику технической поддержки. Сертифицированные организации ежегодно проходят независимый аудит. www.mentor.com/supportnet 15 июля Mentor Graphics завоевала престижную награду "Ten Best Web Support Sites" Эту награду присуждает ASP (Association of Support Professionals) и Mentor - первая EDA-компания, удостоенная такой награды. Среди других обладателей этой награды: BEA Systems, Dell Computer, Hewlett Packard, Macromedia, Novell и Xilinx. SupportNet - web-сайт Mentor - содержит полный ряд технической информации и продвинутые интерактивные возможности. Всего в оценке сайтов при присуждении наград ASP учитывались 25 критериев, включая используемость, дизайн, навигацию, разработку базы данных и системы поиска, персонализацию и др. Среди достоинств SupportNet отмечены следующие. KnowledgeBase - мощная база данных, которая обеспечивает пользователей постоянным доступом к информации о продуктах и решениях проблем, наиболее часто возникающих при проектировании. На сайте имеется форум, позволяющий пользователям общаться непосредственно друг с другом для обмена информацией о продуктах и методологиях Mentor. Обеспечено персонализированное извещение пользователей об обновлениях продуктов и информации. www.mentor.com/supportnet 1.27.2. Обобщения и выводы Больших успехов добился SupportNet - портал фирмы Mentor Graphics, в частности, он завоевал престижную награду "Ten Best Web Support Sites". Другим отмеченным в текущем периоде порталом является WEB-центр для разработчиков устройств на ASIC и FPGA, разработанный фирмой Actel. 1.28. "15. Специализированные СБИС 15.1. Передача данных" 1.28.1. Только факты 10 июня Texas Instruments выпустила устройство для построения домашних сетей по стандарту 1394b (FireWire) Люди нуждаются в перемещении данных и мультимедиа-информации по интернет-протоколам на высоких скоростях на большие расстояния внутри дома. TSB41BA3 - это трехпортовое PHY-устройство, способное принимать/передавать со скоростью до 400 Мбит/сек на расстояние до 150 метров по оптическому кабелю. www.ti.com/sc03120 1.28.2. Обобщения и выводы Специализированные СБИС для передачи информации - перспективное направление разработок. 1.29. "15.2. Сетевая обработка" 1.29.1. Обобщения и выводы 14 мая Cadence помогла Teradiant Networks выпустить 200-миллионо- транзисторный чип-сет TeraPacket для сетевой обработки Процесс проектирования TeraPacket с помощью Cadence RTL Compiler занял 8 месяцев. Cadence заполучила этот RTL Compiler при покупке Get2Chip. www.teradiant.com www.cadence.com 27 мая Zarlink демонстрирует однокристальный пакетный процессор на SUPERCOMM 2003 products.zarlink.com/profiles/MT90880 www.zarlink.com www.metroethernetforum.org 2 июня Agere Systems анонсирует новую системную карту Festino для мультисервисных коммуникационных сетей Разработка выполнена совместно с такими фирмами как Agilent Technologies, Exar, Celestica. www.agere.com www.agilent.com/semiconductors www.exar.com www.celestica.com www.sedar.com www.sec.gov 1.29.2. Обобщения и выводы Сразу три фирмы объявили о новых специализированных СБИС в области сетевой обработки: Teradiant Networks, Zarlink и Agere Systems. 1.30. "15.3. Цифровое телевидение" 1.30.1. Только факты 9 мая Laker фирмы Silicon Canvas выбран фирмой Divio для разработки ее MPEG-4 и других мультимедиа-чипов www.sicanvas.com www.divio.com 14 мая ATI использовала Synopsys DFT Compiler SoCBIST при разработке своего ультра-производительного визуального процессора VPU (visual processing unit) VPU имеет более 200 миллионов транзисторов, задействованных под цифровую логику. www.synopsys.com 22 мая Zarlink выпустила одночипные процессоры PVR (Personal Video Recorders) для цифрового телевидения Такой чип содержит двухканальный демодулятор, PVR контроллер, MPEG-2 видео/аудио, и высокоскоростной процессор ZL10320. products.zarlink.com/profiles/ZL10320 news.zarlink.com/visual_center www.zarlink.com 22 мая Aldec помогла PnpNetwork Technologies разработать чип PnpNetwork Technologies успешно завершила разработку ASIC для set-top box с помощью Aldec Riviera-IPT. PnpNetwork Technologies - fabless-компания, ведущая разработки в области цифрового телевидения. www.pnpnetwork.com www.aldec.com 1.30.2. Обобщения и выводы Обработка видео-информации - одна из ключевых компонент цифрового телевидения будущего. 2. Новости, развивающие классификацию 2.1. "н! 7.3.6. CAN-контроллеры" 2.1.1. Только факты 2 июля Xilinx анонсирует первые реконфигурируемые CAN-контроллеры для автомобильного рынка Четыре новых CAN-контроллера на базе Xilinx FPGA Virtex и Spartan сейчас достпуны от членов программы Xilinx AllianceCORE: CAST, Memec Design (обе - США), Robert Bosch GmbH (Германия) и Xylon d.o.o. (Хорватия). Xilinx сотрудничает также с Agilent Technologies в области разработки средств тестирования CAN-устройств. www.xilinx.com/ipcenter www.xilinx.com/automotive www.xilinx.com/esp/automotive/index.htm www.agilent.com/find/mso 2.1.2. Обобщения и выводы CAN-технологии доминируют в автомобильной промышленности, немудрено, что такой рынок вызывает особый интерес у Xilinx. Интересно, что сами разработки выполнены не на Xilinx, а ее партнерами, в том числе и фирмой Xylon d.o.o. из Хорватии, которая, очевидно, является новичком в этой области, по крайней мере по сравнению с упомянутыми рядом CAST, Memec Design (обе - США), Robert Bosch GmbH (Германия). Это лишний раз подчеркивает справедливость ранее высказанных автором утверждений, что на рынке разработки IP-компонент сегодня и отечественным специалистам можно найти свою нишу в международном разделении труда. 2.2. "н! 13.5. On-line - семинары" 2.2.1. Только факты 19 июня Сетевая дискуссия "Платформы проектирования" с участием специалистов Altera, EE Times, Gartner и LSI Logic www.altera.com/common/email/forward2.jsp?xy=ens2_ns 19 июня Сетевое представление Synopsys Nasdaq Investor Program Для получения доступа к "live audio webcast" необходимо не позже чем за 15 минут до начала зарегистрироваться, загрузить и инсталлировать специальное ПО. www.synopsys.com/corporate/invest/invest.html 30 июля Mentor Graphics представляет Adams Harkness & Hill Summer Seminar Web-трансляция прошла 5 августа 2003 года по адресу www.mentor.com/investor_relations/ Для прослушивания достаточно было модемного соединения с Интернет. 2.2.2. Обобщения и выводы Современный мир проектированияи производства стремителен. И лишнего времени нет практически ни у кого. Традиционный способ конференций и семинаров, вынуждающий на несколько суток бросать все свои дела и физически перемещаться в пространстве, с этой точки зрения, имеет ряд определенных недостатков. Именно этим можно объяснить возникновение WEB-семинаров. В отчетный период прошли сразу три: Altera "со товарищи" провела семинар-дискуссию по платформам проектирования, Synopsys - семинар по "Nasdaq Investor Program", в Mentor Graphics - "Adams Harkness & Hill Summer Seminar". Очевидно, что семинары "живьем" пока еще доминируют, но начало WEB-семинарам положено, и как и "on-line" выставки, они скорей всего займут определенную нишу в сфере профессионального общения специалистов. 2.3. "15. Специализированные СБИС 15.4. Емкая и быстрая память для мобильных устройств" 2.3.1. Только факты 5 мая Cypress, Infineon и Micron анонсируют первые образцы 32 мегабит CellularRAM CellularRAM - семейство низкопотребляющей псевдо-статической RAM (PSRAM) для мобильных устройств. Имеющиеся образцы могут работать на частоте до 104 Мгц с задержкой 70 нс. В перспективе (до второй половины 2004 года) - создание 64 и 128 мегабит CellularRAM. www.cellularram.com www.cypress.com www.infineon.com www.micron.com 2.3.2. Обобщения и выводы Среди специализированных СБИС теперь выделились две новые ярко выраженные "подспециализации" - емкая и быстрая память для мобильных устройств и цифровые камеры. 2.4. "15.5. Цифровая камера" 2.4.1. Только факты 1 июля TransChip создает одночипную цифровую фотокамеру с помощью средств разработки от Cadence менее чем за 4 месяца Cadence поставила TransChip среду разработки VCAD (Virtual Computer Aided Design). Обычно подобные средства обработки образов требуют множества чипов. TransChip разработала свою камеру, использовав программированное решение, обеспечив на одном чипе всю необходимую функциональность, включая сохранение образа, обработку цвета и сжатие. В качестве инструментальных средств от Cadence использовались также Cadence Encounter и SoC Encounter. Созданный чип предназначен для встраивания в мобильные телефоны. Первые мобильные телефоны со встроенными цировыми камерами появились в Японии в 2001 году. К концу 2001 года было продано более 4 миллионов таких телефонов. В 2002 году их было продано в 5 раз больше. Последние маркетинговые исследования показывают, что к концу 2003 года около 50% наручных(переносных) приборов будут иметь встроенные цифровые камеры и что в 2006 году будет продано 200 миллионов "камера-фонов". www.transchip.com www.cadence.com 2.4.2 Обобщения и выводы Судя по представленным прогнозам, цифровые камеры - чрезвычано востребованное устройство, а проектирвоание и производсво чипов цифровых камер - перспективное занятие. Заключение Данный материал представляет систематическую классификацию новостей, распространявшихся с EDA-портала http://www.dacafe.com в период с января 2001 по июль 2003 года. Полный текст хронологических DACAFE-новостей с января 2001 года можно найти по адресу: http://NewIT.gsu.unibel.by Цель данных материалов - помочь участникам EDA-индустрии (создателям средств атоматизации разработки программного и аппаратного обеспечения, разработчикам и производителям компонент и устройств, маркетинговым компаниям) получить систематическое представление о состоянии дел и тенденциях в EDA-индустрии.